Ndeapi Matanho matanhatu Akakosha Mukugadzira Chip?

Muna 2020, anopfuura bhiriyoni machipisi akagadzirwa pasi rese, ayo akaenzana nemachipi zana nemakumi matatu ane uye anoshandiswa nemunhu wega wega ari pasirese.Zvakadaro kunyangwe zvakadaro, kushomeka kwazvino kwechip kunoramba kuchiratidza kuti nhamba iyi haisati yasvika painogumira.

Kunyangwe machipisi anogona kutogadzirwa pachiyero chakakura kudaro, kuvagadzira harisi basa riri nyore.Maitiro ekugadzira machipisi akaoma, uye nhasi tichavhara matanho matanhatu akanyanya kukosha: deposition, photoresist coating, lithography, etching, ion implantation, uye kurongedza.

Deposition

Danho rekuisa rinotanga newafer, inochekwa kubva pa99.99% pure silicon cylinder (inonziwo "silicon ingot") uye yakakwenenzverwa kusvika pakupera kwakatsetseka, uyezve firimu rakatetepa re conductor, insulator, kana semiconductor zvinhu zvinoiswa. pawafer, zvichienderana nezvinodiwa zvekuumbwa, kuitira kuti yekutanga dhinda inogona kudhindwa pairi.Iyi nhanho yakakosha inowanzonzi "deposition".

Sezvo machipisi achive madiki uye madiki, kudhinda mapatani pamawafer kunowedzera kuoma.Kufambira mberi mukuisa, etching uye lithography ndiyo kiyi pakuita kuti machipisi ave madiki uye nekudaro kutyaira kuenderera kweMutemo waMoore.Izvi zvinosanganisira maitiro matsva anoshandisa zvinhu zvitsva kuita kuti deposition process inyatsojeka.

Photoresist Coating

Wafers anobva aputirwa nephotosensitive material inonzi "photoresist" (inonziwo "photoresist").Kune marudzi maviri emafotoresists - "positive photoresists" uye "negative photoresists".

Musiyano mukuru pakati pezvakanaka uye zvisina kunaka photoresists ndiyo chimiro chemakemikari yezvinyorwa uye nzira iyo photoresist inobata nayo kuchiedza.Panyaya yeakanaka photoresists, nzvimbo yakazarurwa kune UV mwenje inoshandura chimiro uye inonyungudika, nekudaro ichigadzirira iyo etching uye deposition.Negative photoresists, kune rumwe rutivi, polymerize munzvimbo dzakafumurwa nechiedza, izvo zvinoita kuti zvive zvakaoma kunyungudika.Positive photoresists ndiyo inonyanya kushandiswa mukugadzira semiconductor nekuti ivo vanogona kuwana yepamusoro resolution, ichivaita sarudzo iri nani yeiyo lithography nhanho.Ikozvino kune akati wandei emakambani pasi rese anogadzira mafotoresist ekugadzira semiconductor.

Photolithography

Photolithography yakakosha mukugadzira chip nekuti inotarisa kuti madiki ma transistors pa chip anogona kuve madiki.Panguva ino, zvimedu zvinoiswa mumushini we photolithography uye zvinoratidzwa kune yakadzika ultraviolet chiedza.Nguva zhinji idiki zvakapetwa nezviuru pane tsanga yejecha.

Chiedza chinoratidzwa pawafer kuburikidza ne "mask plate" uye lithography optics (iyo lenzi yeDUV system) inodzikira uye inonangana neyakagadzirwa redunhu patani pandiro yemask pane photoresist pawafer.Sezvakatsanangurwa kare, kana mwenje warova photoresist, shanduko yekemikari inoitika inodhinda patani pandiro yemask pane photoresist coating.

Kuwana iyo yakafumurwa pateni chaiyo ibasa rine hunyanzvi, nekukanganisika kwechikamu, refraction uye humwe hurema hwemuviri kana kemikari zvese zvinogoneka mukuita.Ndosaka dzimwe nguva isu tichida kukwenenzvera yekupedzisira yekufumura pateni nekunyatso gadzirisa patani pane mask kuti iyo yakadhindwa pateni itaridzike nenzira yatinoda kuti iite.Yedu sisitimu inoshandisa "computational lithography" kusanganisa algorithmic modhi nedata kubva kumuchina welithography uye bvunzo wafers kugadzira mask dhizaini yakasiyana zvachose neyekupedzisira exposure pateni, asi ndizvo zvatinoda kuzadzisa nekuti ndiyo chete nzira yekuwana iyo yaidiwa exposure pattern.

Etching

Nhanho inotevera ndeyekubvisa yakaderedzwa photoresist kuratidza yaunoda pateni.Munguva ye "etch" maitiro, wafer inobikwa uye inogadzirwa, uye imwe yephotoresist inogezwa kuti ibudise yakavhurika chiteshi 3D pateni.Iyo etching process inofanirwa kuumba conductive maficha nenzira kwayo uye isingachinjiki pasina kukanganisa kuperera uye kugadzikana kweiyo chip chimiro.Maitiro epamusoro etching anobvumira vanogadzira machipisi kuti vashandise kaviri, quadruple uye spacer-based mapatani kuti vagadzire madiki madiki echizvino dhizaini.

Kufanana ne photoresists, etching yakakamurwa kuva "yakaoma" uye "yakanyorova" marudzi.Dry etching inoshandisa gasi kutsanangura iyo yakafumurwa pateni pawafer.Wet etching inoshandisa nzira dzemakemikari kuchenesa wafer.

Chipi chine matinji ezvikamu, saka etching inofanirwa kudzorwa nekuchenjerera kudzivirira kukuvadza ari pasi pezvikamu zveakawanda-layer chip chimiro.Kana chinangwa che etching chiri kugadzira gomba mune chimiro, zvinodikanwa kuve nechokwadi kuti kudzika kwegomba kwakanyatso.Mamwe madhizaini anosvika zana nemakumi manomwe neshanu, senge 3D NAND, anoita nhanho yekuisa inonyanya kukosha uye yakaoma.

Ion Jekiseni

Kana iyo pateni ichinge yaiswa pawafer, wafer inoputirwa nemaoni akanaka kana akaipa kuti agadzirise maitiro ekuitisa chikamu chepati.Sezvinhu zvewafers, iyo yakabikwa silicon haisi yakakwana insulator kana yakakwana conductor.Silicon's conductive zvivakwa zvinowira kumwe pakati.

Kutungamira maion anochajiswa musilicon crystal kuitira kuti kuyerera kwemagetsi kudzoreke kugadzira ma switch emagetsi ari iwo ekutanga mabhuroko eiyo chip, iyo transistors, inonzi "ionization", inozivikanwawo se "ion implantation".Mushure mekunge iyo layer yave ionized, iyo yakasara photoresist inoshandiswa kuchengetedza iyo isina-etched nzvimbo inobviswa.

Packaging

Zviuru zvematanho zvinodikanwa kugadzira chip pane wafer, uye zvinotora anopfuura mwedzi mitatu kuenda kubva pakugadzira kuenda kune kugadzira.Kuti ubvise chip kubva mumucheka, inochekwa kuita machipisi ega ega uchishandisa saha yedhaimondi.Aya machipisi, anonzi "bare die," akapatsanurwa kubva pa12-inch wafer, saizi inonyanya kushandiswa mukugadzira semiconductor, uye nekuti saizi yemachipi inosiyana, mamwe mawafer anogona kuve nezviuru zvemachipisi, nepo mamwe aine mashoma. gumi nemaviri.

Aya mawafesi asina chinhu anozoiswa pa "substrate" - substrate inoshandisa simbi foil kutungamira yekupinza uye kubuda masaini kubva kune isina chinhu wafer kune iyo yese system.Inobva yafukidzwa ne "heat sink", diki, flat simbi inodzivirira mudziyo ine inotonhorera kuti ive nechokwadi chekuti chip inogara ichitonhorera panguva yekushanda.

zvizere-otomatiki1

Profile yekambani

Zhejiang NeoDen Technology Co., Ltd. yave kugadzira nekutumira kunze michina midiki yakasiyana-siyana yekunhonga nenzvimbo kubva muna 2010. Tichitora mukana weR&D yedu yakapfuma ine ruzivo, kugadzirwa kwakanyatsodzidziswa, NeoDen inohwina mukurumbira mukuru kubva kuvatengi vepasi rose.

nekuvapo kwepasirese munyika dzinopfuura zana nemakumi matatu, kuita kwakanakisa, kunyatsoita uye kuvimbika kweNeoDen.PNP michinaita kuti ive yakakwana yeR&D, nyanzvi prototyping uye diki kusvika pakati batch kugadzirwa.Isu tinopa nyanzvi mhinduro yeimwe yekumira SMT michina.

Wedzera: No.18, Tianzihu Avenue, Tianzihu Town, Anji County, Huzhou City, Zhejiang Province, China

Nharembozha: 86-571-26266266


Nguva yekutumira: Kubvumbi-24-2022

Tumira meseji yako kwatiri: